Follow
Yejoong Kim
Yejoong Kim
Verified email at umich.edu
Title
Cited by
Cited by
Year
A cubic-millimeter energy-autonomous wireless intraocular pressure monitor
G Chen, H Ghaed, R Haque, M Wieckowski, Y Kim, G Kim, D Fick, D Kim, ...
2011 IEEE International Solid-State Circuits Conference, 310-312, 2011
2712011
A Modular 1 mm Die-Stacked Sensing Platform With Low Power IC Inter-Die Communication and Multi-Modal Energy Harvesting
Y Lee, S Bang, I Lee, Y Kim, G Kim, MH Ghaed, P Pannuto, P Dutta, ...
IEEE Journal of Solid-State Circuits 48 (1), 229-243, 2012
2572012
Bubble razor: Eliminating timing margins in an ARM cortex-M3 processor in 45 nm CMOS using architecturally independent error detection and correction
M Fojtik, D Fick, Y Kim, N Pinckney, DM Harris, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 48 (1), 66-81, 2012
2122012
An injectable 64 nW ECG mixed-signal SoC in 65 nm for arrhythmia monitoring
YP Chen, D Jeon, Y Lee, Y Kim, Z Foo, I Lee, NB Langhals, G Kruger, ...
IEEE Journal of Solid-State Circuits 50 (1), 375-390, 2014
1942014
IoT design space challenges: Circuits and systems
D Blaauw, D Sylvester, P Dutta, Y Lee, I Lee, S Bang, Y Kim, G Kim, ...
2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical …, 2014
1842014
Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor
MH Ghaed, G Chen, R Haque, M Wieckowski, Y Kim, G Kim, Y Lee, I Lee, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 60 (12), 3152-3162, 2013
1342013
Bubble Razor: An architecture-independent approach to timing-error detection and correction
M Fojtik, D Fick, Y Kim, N Pinckney, D Harris, D Blaauw, D Sylvester
2012 IEEE International Solid-State Circuits Conference, 488-490, 2012
1312012
14.7 a 288µw programmable deep-learning processor with 270kb on-chip weight storage using non-uniform memory hierarchy for mobile intelligence
S Bang, J Wang, Z Li, C Gao, Y Kim, Q Dong, YP Chen, L Fick, X Sun, ...
2017 IEEE International Solid-State Circuits Conference (ISSCC), 250-251, 2017
1252017
A modular 1mm3die-stacked sensing platform with optical communication and multi-modal energy harvesting
Y Lee, G Kim, S Bang, Y Kim, I Lee, P Dutta, D Sylvester, D Blaauw
2012 IEEE International Solid-State Circuits Conference, 402-404, 2012
1182012
A dual-slope capacitance-to-digital converter integrated in an implantable pressure-sensing system
S Oh, Y Lee, J Wang, Z Foo, Y Kim, W Jung, Z Li, D Blaauw, D Sylvester
IEEE Journal of solid-state circuits 50 (7), 1581-1591, 2015
1132015
24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis
D Jeon, YP Chen, Y Lee, Y Kim, Z Foo, G Kruger, H Oral, O Berenfeld, ...
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
942014
A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V
Q Dong, S Jeloka, M Saligane, Y Kim, M Kawaminami, A Harada, ...
IEEE Journal of Solid-State Circuits 53 (4), 1006-1015, 2017
902017
A 0.3 V VDDmin 4+ 2T SRAM for searching and in-memory computing using 55nm DDC technology
Q Dong, S Jeloka, M Saligane, Y Kim, M Kawaminami, A Harada, ...
2017 Symposium on VLSI Circuits, C160-C161, 2017
872017
A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting
G Kim, Y Lee, Z Foo, P Pannuto, YS Kuo, B Kempke, MH Ghaed, S Bang, ...
2014 Symposium on VLSI Circuits Digest of Technical Papers, 1-2, 2014
832014
27.8 a static contention-free single-phase-clocked 24t flip-flop in 45nm for low-power applications
Y Kim, W Jung, I Lee, Q Dong, M Henry, D Sylvester, D Blaauw
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
692014
A 23-mW face recognition processor with mostly-read 5T memory in 40-nm CMOS
D Jeon, Q Dong, Y Kim, X Wang, S Chen, H Yu, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 52 (6), 1628-1642, 2017
672017
7.4 A 915MHz asymmetric radio using Q-enhanced amplifier for a fully integrated 3×3×3mm3wireless sensor node with 20m non-line-of-sight communication
LX Chuo, Y Shi, Z Luo, N Chiotellis, Z Foo, G Kim, Y Kim, A Grbic, ...
2017 IEEE International Solid-State Circuits Conference (ISSCC), 132-133, 2017
672017
SLC: Split-control level converter for dense and stable wide-range voltage conversion
Y Kim, Y Lee, D Sylvester, D Blaauw
2012 Proceedings of the ESSCIRC (ESSCIRC), 478-481, 2012
542012
17.2 A 142nW voice and acoustic activity detection chip for mm-scale sensor nodes using time-interleaved mixer-based frequency scanning
M Cho, S Oh, Z Shi, J Lim, Y Kim, S Jeong, Y Chen, D Blaauw, HS Kim, ...
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 278-280, 2019
502019
A 695 pW standby power optical wake-up receiver for wireless sensor nodes
G Kim, Y Lee, S Bang, I Lee, Y Kim, D Sylvester, D Blaauw
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 1-4, 2012
482012
The system can't perform the operation now. Try again later.
Articles 1–20