Follow
George Constantinides
Title
Cited by
Cited by
Year
Reconfigurable computing: architectures and design methods
TJ Todman, GA Constantinides, SJE Wilton, O Mencer, W Luk, ...
IEE Proceedings-Computers and Digital Techniques 152 (2), 193-207, 2005
5952005
Embedded online optimization for model predictive control at megahertz rates
JL Jerez, PJ Goulart, S Richter, GA Constantinides, EC Kerrigan, M Morari
IEEE Transactions on Automatic Control 59 (12), 3238-3251, 2014
2872014
Accuracy-guaranteed bit-width optimization
DU Lee, AA Gaffar, RCC Cheung, O Mencer, W Luk, GA Constantinides
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
2712006
A parallel hardware architecture for scale and rotation invariant feature detection
V Bonato, E Marques, GA Constantinides
IEEE transactions on circuits and systems for video technology 18 (12), 1703 …, 2008
2532008
Deep neural network approximation for custom hardware: Where we've been, where we're going
E Wang, JJ Davis, R Zhao, HC Ng, X Niu, W Luk, PYK Cheung, ...
ACM Computing Surveys (CSUR) 52 (2), 1-39, 2019
2122019
Wordlength optimization for linear digital signal processing
GA Constantinides, PYK Cheung, W Luk
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2003
2032003
High-level synthesis of dynamic data structures: A case study using Vivado HLS
F Winterstein, S Bayliss, GA Constantinides
2013 International conference on field-programmable technology (FPT), 362-365, 2013
1502013
Automatically comparing memory consistency models
J Wickerson, M Batty, T Sorensen, GA Constantinides
Proceedings of the 44th ACM SIGPLAN Symposium on Principles of Programming …, 2017
1352017
Predictive control using an FPGA with application to aircraft control
EN Hartley, JL Jerez, A Suardi, JM Maciejowski, EC Kerrigan, ...
IEEE Transactions on Control Systems Technology 22 (3), 1006-1017, 2013
1172013
Perturbation analysis for word-length optimization
GA Constantinides
11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines …, 2003
1162003
Certified roundoff error bounds using semidefinite programming
V Magron, G Constantinides, A Donaldson
ACM Transactions on Mathematical Software (TOMS) 43 (4), 1-31, 2017
1062017
Synthesis and optimization of DSP algorithms
G Constantinides, PYK Cheung, W Luk
Springer Science & Business Media, 2007
1052007
The complexity of multiple wordlength assignment
GA Constantinides, GJ Woeginger
Applied mathematics letters 15 (2), 137-140, 2002
1032002
The multiple wordlength paradigm
GA Constantinides, PYK Cheung, W Luk
The 9th Annual IEEE Symposium on Field-Programmable Custom Computing …, 2001
1032001
Truncation noise in fixed-point SFGs
GA Constantinides, PYK Cheung, W Luk
Electronics Letters 35 (23), 2012-2014, 1999
931999
A floating-point extended kalman filter implementation for autonomous mobile robots
V Bonato, E Marques, GA Constantinides
Journal of Signal Processing Systems 56, 41-50, 2009
882009
Approximate logic synthesis: A survey
I Scarabottolo, G Ansaloni, GA Constantinides, L Pozzi, S Reda
Proceedings of the IEEE 108 (12), 2195-2213, 2020
832020
An energy and power consumption analysis of FPGA routing architectures
P Jamieson, W Luk, SJE Wilton, GA Constantinides
2009 International Conference on Field-Programmable Technology, 324-327, 2009
812009
Embedded predictive control on an FPGA using the fast gradient method
JL Jerez, PJ Goulart, S Richter, GA Constantinides, EC Kerrigan, M Morari
2013 European Control Conference (ECC), 3614-3620, 2013
762013
A condensed and sparse QP formulation for predictive control
JL Jerez, EC Kerrigan, GA Constantinides
2011 50th IEEE Conference on Decision and Control and European Control …, 2011
722011
The system can't perform the operation now. Try again later.
Articles 1–20