Follow
Hassan Mostafa
Hassan Mostafa
Engineering at Cairo University, Zewail City of Science and Technology, University of Toronto
Verified email at staff.cu.edu.eg - Homepage
Title
Cited by
Cited by
Year
Environmental life cycle assessment and techno-economic analysis of triboelectric nanogenerators
A Ahmed, I Hassan, T Ibn-Mohammed, H Mostafa, IM Reaney, LSC Koh, ...
Energy & Environmental Science 10 (3), 653-671, 2017
1342017
A modified CMOS realization of the operational transresistance amplifier (OTRA)
H Mostafa, AM Soliman
Frequenz 60 (3-4), 70-77, 2006
1122006
Smart IoT monitoring system for agriculture with predictive analysis
AA Araby, MM Abd Elhameed, NM Magdy, N Abdelaal, YT Abd Allah, ...
2019 8th International Conference on Modern Circuits and Systems …, 2019
942019
Adaptive body bias for reducing the impacts of NBTI and process variations on 6T SRAM cells
H Mostafa, M Anis, M Elmasry
IEEE Transactions on Circuits and Systems I: Regular Papers 58 (12), 2859-2871, 2011
942011
Dual notched band quad-element MIMO antenna with multitone interference suppression for IR-UWB wireless applications
M Shehata, MS Said, H Mostafa
IEEE Transactions on Antennas and Propagation 66 (11), 5737-5746, 2018
602018
NBTI and process variations compensation circuits using adaptive body bias
H Mostafa, M Anis, M Elmasry
IEEE transactions on semiconductor manufacturing 25 (3), 460-467, 2012
572012
A novel nondestructive read/write circuit for memristor-based memory arrays
M Elshamy, H Mostafa, YH Ghallab, MS Said
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (11 …, 2015
552015
Highly-linear voltage-to-time converter (VTC) circuit for time-based analog-to-digital converters (T-ADCs)
H Mostafa, YI Ismail
2013 IEEE 20th international conference on electronics, circuits, and …, 2013
462013
A novel low area overhead direct adaptive body bias (D-ABB) circuit for die-to-die and within-die variations compensation
H Mostafa, M Anis, M Elmasry
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (10 …, 2010
462010
Statistical design framework of submicron flip-flop circuits considering process variations
SA Sadrossadat, H Mostafa, M Anis
IEEE Transactions on Semiconductor Manufacturing 24 (1), 69-79, 2010
442010
ASIC-oriented comparative review of hardware security algorithms for internet of things applications
MA Bahnasawi, K Ibrahim, A Mohamed, MK Mohamed, A Moustafa, ...
2016 28th International Conference on Microelectronics (ICM), 285-288, 2016
392016
Novel timing yield improvement circuits for high-performance low-power wide fan-in dynamic OR gates
H Mostafa, M Anis, M Elmasry
IEEE Transactions on Circuits and Systems I: Regular Papers 58 (8), 1785-1797, 2011
352011
Low-power hardware implementation of a support vector machine training and classification for neural seizure detection
H Elhosary, MH Zakhari, MA Elgammal, MA Abd El Ghany, KN Salama, ...
IEEE transactions on biomedical circuits and systems 13 (6), 1324-1337, 2019
342019
Novel CMOS Realizations of the Operational Floating Conveyor and Applications
MH HASSAN, MS AHMED
Journal of Circuits, Systems, and Computers 14 (06), 1113-1143, 2005
342005
ASIC and FPGA comparative study for IoT lightweight hardware security algorithms
N Samir, AS Hussein, M Khaled, AN El-Zeiny, M Osama, H Yassin, ...
Journal of Circuits, Systems and Computers 28 (12), 1930009, 2019
322019
Breast cancer diagnosis using image processing and machine learning for elastography images
M Adel, A Kotb, O Farag, MS Darweesh, H Mostafa
2019 8th International Conference on Modern Circuits and Systems …, 2019
322019
FPGA implementation of dynamically reconfigurable IoT security module using algorithm hopping
S Soliman, MA Jaela, AM Abotaleb, Y Hassan, MA Abdelghany, ...
Integration 68, 108-121, 2019
282019
A design-oriented soft error rate variation model accounting for both die-to-die and within-die variations in submicrometer CMOS SRAM cells
H Mostafa, M Anis, M Elmasry
IEEE Transactions on Circuits and Systems I: Regular Papers 57 (6), 1298-1311, 2010
282010
RF energy harvesting IoT system for museum ambience control with deep learning
NA Eltresy, OM Dardeer, A Al-Habal, E Elhariri, AH Hassan, A Khattab, ...
Sensors 19 (20), 4465, 2019
272019
Comparative analysis of timing yield improvement under process variations of flip-flops circuits
H Mostafa, M Anis, M Elmasry
2009 IEEE Computer Society Annual Symposium on VLSI, 133-138, 2009
272009
The system can't perform the operation now. Try again later.
Articles 1–20