Follow
Hui Chen
Title
Cited by
Cited by
Year
LightNAS: On Lightweight and Scalable Neural Architecture Search for Embedded Platforms
X Luo, D Liu, H Kong, S Huai, H Chen, W Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022
192022
O-Star: An optical switching architecture featuring mode and wavelength-division multiplexing for on-chip many-core systems
W Hou, P Guo, L Guo, X Zhang, H Chen, W Liu
Journal of Lightwave Technology 40 (1), 24-36, 2021
152021
ArSMART: An improved SMART NoC design supporting arbitrary-turn transmission
H Chen, P Chen, J Zhou, LHK Duong, W Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
142021
EDLAB: A benchmark for edge deep learning accelerators
H Kong, S Huai, D Liu, L Zhang, H Chen, S Zhu, S Li, W Liu, M Rastogi, ...
IEEE Design and Test, 2021
142021
Reduced worst-case communication latency using single-cycle multihop traversal network-on-chip
P Chen, W Liu, H Chen, S Li, M Li, L Yang, N Guan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
132020
Designing efficient DNNs via hardware-aware neural architecture search and beyond
X Luo, D Liu, S Huai, H Kong, H Chen, W Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
92021
Surgenas: A comprehensive surgery on hardware-aware differentiable neural architecture search
X Luo, D Liu, H Kong, S Huai, H Chen, W Liu
IEEE Transactions on Computers 72 (4), 1081-1094, 2022
72022
You only search once: On lightweight differentiable architecture search for resource-constrained embedded platforms
X Luo, D Liu, H Kong, S Huai, H Chen, W Liu
Proceedings of the 59th ACM/IEEE Design Automation Conference, 475-480, 2022
52022
FAT: An in-memory accelerator with fast addition for ternary weight neural networks
S Zhu, LHK Duong, H Chen, D Liu, W Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
52022
Partial order based non-preemptive communication scheduling towards real-time networks-on-chip
P Chen, H Chen, J Zhou, D Liu, S Li, W Liu, W Chang, N Guan
Proceedings of the 36th Annual ACM Symposium on Applied Computing, 145-154, 2021
42021
Contention minimization in emerging smart NoC via direct and indirect routes
P Chen, H Chen, J Zhou, M Li, W Liu, C Xiao, Y Xie, N Guan
IEEE Transactions on Computers 71 (8), 1874-1888, 2021
32021
Crossbar-aligned & integer-only neural network compression for efficient in-memory acceleration
S Huai, D Liu, X Luo, H Chen, W Liu, R Subramaniam
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
22023
LAMP: Load-balanced multipath parallel transmission in point-to-point NoCs
H Chen, P Chen, X Luo, S Huai, W Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
22022
MARCO: A High-performance Task Mapping and Routing Co-optimization Framework for Point-to-Point NoC-based Heterogeneous Computing Systems
H Chen, Z Zhang, P Chen, X Luo, S Li, W Liu
ACM Transactions on Embedded Computing Systems (TECS) 20 (5s), 1-21, 2021
22021
Parallel multipath transmission for burst traffic optimization in point-to-point NoCs
H Chen, Z Zhang, P Chen, S Zhu, W Liu
Proceedings of the 2021 on Great Lakes Symposium on VLSI, 289-294, 2021
22021
MUGNoC: A Software-configured Multicast-Unicast-Gather NoC for Accelerating CNN Dataflows
H Chen, D Liu, S Li, S Huai, X Luo, W Liu
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
2023
Work-in-Progress: What to Expect of Early Training Statistics? An Investigation on Hardware-Aware Neural Architecture Search
X Luo, D Liu, H Kong, S Huai, H Chen, W Liu
2022 International Conference on Hardware/Software Codesign and System …, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–17